伊莉討論區

標題: VHDL程式問題 [打印本頁]

作者: ooCHIPoo    時間: 2019-12-19 04:50 PM     標題: VHDL程式問題

[attach]129878013[/attach]
不好意思請問一下... 不知道有沒有人知道(a)題目的VHDL程式碼該怎麼寫!!

作者: Bania    時間: 2020-1-15 01:39 PM

我來幫你起頭好了

library ieee;
use ieee.std_logic_1164.all;

entity RAM is
           port(             );
end RAM;

architecture behave of RAM is
begin
           process(s)

            end process;
end behave;

裡面較要靠你自己了





歡迎光臨 伊莉討論區 (http://www32.eyny.com/) Powered by Discuz!